# Pastebin sKl9bVGj module top(input [3:0] a, output [3:0] m, n, o); assign m = a + 1'b1; assign n = a + 4'b0001; assign o = a + 1; endmodule