# Pastebin rk9mii7F with m.If(rom_addr < (self.rom_len - 1)): m.d.sync += rom_addr.eq(rom_addr + 1) with m.Else: m.d.sync += rom_addr.eq(0)