# Pastebin qwkjWQ66 cycles = Signal(32) self.sync += cycles.eq(cycles + 1) self.sync += If(cycles == 2000, Finish())