# Pastebin aIlHInu7 module top(output reg foo); initial foo = 1'b0; reg \bar; always @* foo = 1'b1 ; endmodule