# Pastebin CDw6eW5K .tile R77C63:PLC2 arc: A0 N1_V02S0701 arc: A4 N1_V02S0101 arc: A6 E1_H01W0000 arc: A7 H00L0000 arc: B0 S1_V02N0301 arc: B1 V02N0101 arc: B4 S1_V02N0501 arc: B6 V02N0701 arc: B7 V02N0701 arc: C0 E1_H02W0401 arc: C1 E1_H01W0000 arc: C4 N1_V02S0001 arc: C6 V02N0001 arc: C7 V00T0100 arc: CE1 W1_H02E0101 arc: CLK1 G_HPBX0000 arc: D0 S1_V02N0201 arc: D4 V02S0601 arc: D6 V02N0601 arc: D7 V02N0601 arc: E1_H01E0001 Q2 arc: E1_H02E0001 W1_H02E0001 arc: E1_H02E0101 V02S0101 arc: E1_H02E0201 W1_H02E0701 arc: E1_H02E0401 F6 arc: E1_H02E0501 N1_V02S0501 arc: E1_H02E0601 F4 arc: E3_H06E0303 V01N0101 arc: F0 F5A_SLICE arc: F6 F5D_SLICE arc: H00L0000 V02N0001 arc: H00R0100 E1_H02W0501 arc: M0 E1_H02W0601 arc: M2 V00T0000 arc: M3 H00R0100 arc: M6 V00B0100 arc: MUXCLK1 CLK1 arc: N1_V02N0001 H06E0003 arc: N1_V02N0701 N1_V01S0100 arc: N3_V06N0203 S1_V02N0401 arc: S1_V02S0201 V01N0001 arc: S1_V02S0301 H02W0301 arc: S1_V02S0401 N3_V06S0203 arc: S1_V02S0601 N1_V02S0301 arc: V00B0100 H02W0501 arc: V00T0000 E1_H02W0201 arc: V00T0100 H02W0301 arc: W1_H02W0301 Q3 arc: W3_H06W0003 F0 arc: W3_H06W0203 E3_H06W0103 arc: W3_H06W0303 E3_H06W0303 word: SLICEA.K0.INIT 0101010001000100 word: SLICEA.K1.INIT 1100000011000000 word: SLICEB.K0.INIT 0000000000000000 word: SLICEB.K1.INIT 0000000000000000 word: SLICEC.K0.INIT 1110111111111111 word: SLICEC.K1.INIT 0000000000000000 word: SLICED.K0.INIT 1000000000000000 word: SLICED.K1.INIT 1111111110111111 enum: SLICEA.CCU2.INJECT1_0 NO enum: SLICEB.GSR DISABLED enum: SLICEB.REG0.REGSET RESET enum: SLICEB.REG0.SD 0 enum: SLICEB.REG1.REGSET RESET enum: SLICEB.REG1.SD 0 enum: SLICED.CCU2.INJECT1_0 NO