# Pastebin 46yAANXZ genvar i; generate for(i = 0; i < ADDR_LENGTH; i++) begin initial mem[i] = INIT_00[i*DATA_WIDTH +: DATA_WIDTH]; end endgenerate